Difference: InterOpMay2010Theory (1 vs. 2)

Revision 22010-04-21 - HerveWozniak

 

Theory Sessions at the

May 2010 Interop Meeting

(back to main program page InterOpMay2010#Program)


Theory Session I: Preliminary Schedule

Speaker Title Time Location
Changed:
<
<
  Theory: Service demonstrations XX, May XX XX.00–XX.30 XX
>
>
  Theory: Service demonstrations Tuesday, May 18 11.00–12.30 Merino
 
Miguel Cervino TBD    
Changed:
<
<
Patrizia Manzato TBD    
>
>
Patrizia Manzato TBD (BaSTI...)    
 
Franck Le Petit TBD ppt    
TBD TBD    

Theory Session II: Preliminary Schedule

Speaker Title Time Location
Changed:
<
<
  Theory: future directions XX, May XX, XX.00–XX.30 XX
>
>
  Theory: future directions Wednesday, May 19, 14.00–15.30 Merino
 
Herve Wozniak Where are we ?ppt    
Miguel Cervino TBD    
Herve Wozniak Roadmap for the future ppt    
Added:
>
>

DM/Theory joint Session: Preliminary Schedule

Speaker Title Time Location
  Data Model Validation, IVOA Reusable Data Types and Theory-Related Topics Thusday, May 20, 11.00–12.30 Merino
Gerard Lemson SimDB/DM ppt    
Miguel Cervino population synthesis DM TBD    

Splinter meetings

Several additional meeting are foreseen to discuss a few specific issues linked to theory. Please check this page during the meeting...

 


<--  
-->

Revision 12010-04-12 - HerveWozniak

 

Theory Sessions at the

May 2010 Interop Meeting

(back to main program page InterOpMay2010#Program)


Theory Session I: Preliminary Schedule

Speaker Title Time Location
  Theory: Service demonstrations XX, May XX XX.00–XX.30 XX
Miguel Cervino TBD    
Patrizia Manzato TBD    
Franck Le Petit TBD ppt    
TBD TBD    

Theory Session II: Preliminary Schedule

Speaker Title Time Location
  Theory: future directions XX, May XX, XX.00–XX.30 XX
Herve Wozniak Where are we ?ppt    
Miguel Cervino TBD    
Herve Wozniak Roadmap for the future ppt    


<--  
-->
 
This site is powered by the TWiki collaboration platform Powered by Perl This site is powered by the TWiki collaboration platformCopyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback