Difference: ProvDiscussionOctober016 (3 vs. 4)

Revision 42016-11-16 - KristinRiebe

 
META TOPICPARENT name="ObservationProvenanceDataModel"

Topics:

[to be inserted by Mireille and others]

Action Items (due on 15th November):

  • Check Agent roles (table in the draft) (Mireille and Francois)
  • Minimal requirements - check again (Mireille)
  • Check date for next meeting, maybe invite someone (13th December) (Mireille) done : David Languignon will join us on 13th of dec
Changed:
<
<
  • wasDerivedFrom, wasInformedBy (Kristin makes first version, asks for comments)
  • Modelio issues (Mireille and Laurent, licensed Modelio version)
  • Update class diagram (ActivityCollection, hasStep, Mireille)
>
>
  • wasDerivedFrom, wasInformedBy (Kristin makes first version, asks for comments) included now in draft
  • Modelio issues (Mireille and Laurent, licensed Modelio version) done: new Modelio version created with working xmi export
  • Update class diagram (ActivityCollection, hasStep, Mireille) included already in new version
 
  • Draw workflow diagram (Kristin)
  • Parameter section: move to main text (Mathieu)
  • Issues with HiPS use case attributes; send a list via mail (Francois)
  • Add implementation note: can "collapse" parts of the provenance class diagram (Mathieu)
  • Send mail to Mark C.-D. with the (final) draft, ask to submit it to the documents-page, circulate email (Kristin, Mathieu)

Longer term action items:

  • vo-dml version (Laurent)
  • write a voprov-library containing additional IVOA ProvenanceDM classes (Michèle)
  • Write a list of classes and attributes used in each use case for the appendix, with some example values and comment, how the class/attribute is used (Kristin, Mathieu, Michèle, Francois)
  • Expand HiPS-use case (using Prov-W3C-tools) (Francois)
  • evaluate graph databases (anyone??)
  • SimDM mapping (with David Languignon?) -> for meeting on 13th December
<--  
-->
 
This site is powered by the TWiki collaboration platform Powered by Perl This site is powered by the TWiki collaboration platformCopyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback