DataModel sessions - draft agenda

DM 1: Board Room - Fri. Oct 30; 16:00-17:30

Speaker Title Duration Materials
Jesus Salgado SourceDM status 15'+5' JSalgado SourceDM
Michele Sanguillon ProvenanceDM: hints from CTA prototype 15'+5' pdf
Francois Bonnarel Char2DM evolution 15'+5' pdf
Mark Cresitello-Dittmar SpectralDM-2.0 status 10' pdf
    20'  

DM 2: Seminar Room - Sat. Oct 31; 11:00-12:30

Speaker Title Duration Materials
Arnold Rots STC2 status 20'+5' pdf
Mark Cresitello-Dittmar DatasetDM/NDCube status 15'+5' pdf
Francois Bonnarel VODML - generating utypes from vo-dml-xml 15'+5' pdf
Mireille Louys ObsCore status and discussion 20' pdf
       

Topic attachments
I Attachment History Action Size Date Who Comment
PDFpdf 151030_IVOA_Prov_CTA.pdf r1 manage 2504.4 K 2015-10-30 - 02:44 MicheleSanguillon  
Unknown file formatpptx 20151030-JSalgado-SourceDM.pptx r3 r2 r1 manage 1641.5 K 2015-10-30 - 03:29 JesusSalgado  
PDFpdf Characterisation_2Sydney.pdf r1 manage 674.3 K 2015-10-31 - 05:11 FrancoisBonnarel  
PDFpdf DatasetCubeDM_status.pdf r1 manage 119.7 K 2015-10-30 - 22:10 MarkCresitelloDittmar Dataset and NDCube status
PDFpdf ObsCoreDM-1.1Oct2015Update.pdf r2 r1 manage 567.3 K 2015-10-30 - 23:25 MireilleLouys  
Unknown file formatpptx ObsCoreDM-1.1Oct2015Update.pptx r2 r1 manage 124.8 K 2015-10-30 - 23:24 MireilleLouys  
PDFpdf ObsCoreDM-1.1Oct2015Update1.pdf r1 manage 567.3 K 2015-10-30 - 23:11 MireilleLouys  
PDFpdf STC2October2015.pdf r1 manage 974.0 K 2015-10-30 - 23:54 ArnoldRots Status of STC2 design and development
PDFpdf SpectralDM_status.pdf r1 manage 96.1 K 2015-10-30 - 02:54 MarkCresitelloDittmar SpectralDM status
PDFpdf UtypeCube.pdf r2 r1 manage 1394.0 K 2015-10-31 - 19:28 FrancoisBonnarel  
Edit | Attach | Watch | Print version | History: r13 < r12 < r11 < r10 < r9 | Backlinks | Raw View | Raw edit | More topic actions
Topic revision: r13 - 2015-10-31 - FrancoisBonnarel
 
This site is powered by the TWiki collaboration platform Powered by Perl This site is powered by the TWiki collaboration platformCopyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback