Data Models Session at the Oct 2008 Interop Meeting

(back to main program page InterOpOct2008#Program)


General Data models Sessions: DRAFT SCHEDULE

DM1/ Observation Model and current developments Monday 27, 15.30- 17.00
Speaker Title File Time
Juan de Dios Santander Vela Data Provenance and radio archives pdf 15'
Carlos Rodrigo Blanco Modeling filter curves for theoretical data pdf 15'
F.Bonnarel Provenance and Characterisation extensions pdf 20'
  within the Observation Data Model    
J. McDowell Current status on Photometry   10'
M.Louys The strategy for the Observation Data model pdf 10'
Discussion    

The Minutes of this session can be found here.

Data Models 2. Serialisation, Utypes and Units Wed 29 10.30 - 12.00

Speaker Title File Time
F. Chéreau A strategy to simplify Data models and their serialisation   15'
M.Louys Role and Syntax for Utypes   15'
A. Stebe UTypes syntax and usage in ADQL queries   10'
F. Ochsenbein UTypes usage inside VOTable documents   10'
S. Derriere Units descriptions and conversions   15'
Discussion    

You'll find the minutes here.

Data Models 3. Joint session with Registry and Applications Thur 30, 8:30 - 10.00

Please see the joint session agenda at Applications session agenda.



Topic attachments
I Attachment History Action Size Date Who Comment
PDFpdf ObsProvCharDM.pdf r1 manage 1903.9 K 2008-10-28 - 13:42 MireilleLouys DM1 Observation DM new prototype F.Bonnarel
PDFpdf VODataProvenance.Baltimore.pdf r1 manage 3425.6 K 2008-10-28 - 13:25 JuanDeDiosSantanderVela DM1 Juande's Talk
PDFpdf filters-baltimore.pdf r1 manage 1299.3 K 2008-10-28 - 13:02 CarlosRodrigoBlanco DM1 Carlos Rodrigo 's talk
PDFpdf obsDMstrategy.pdf r1 manage 87.2 K 2008-10-28 - 13:34 MireilleLouys DM1 Strategy Conclusion
Edit | Attach | Watch | Print version | History: r21 | r12 < r11 < r10 < r9 | Backlinks | Raw View | Raw edit | More topic actions...
Topic revision: r10 - 2008-10-28 - MireilleLouys
 
This site is powered by the TWiki collaboration platform Powered by Perl This site is powered by the TWiki collaboration platformCopyright © 2008-2024 by the contributing authors. All material on this collaboration platform is the property of the contributing authors.
Ideas, requests, problems regarding TWiki? Send feedback